Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Rohit Ranjan

  1. R

    Verilog for loop error.

    Thanks alexhugo, Now I am getting an error that say 'iter' is an invalid type in Generate loop. Must be a genvar
  2. R

    Verilog for loop error.

    I am encountering this error. I think I just need someones second eye on it. Tool: Modelsim Error: near "+": syntax error, unexpected '+' Here is the section of code initial begin // Populate the memory. firstvalue = 10; for (iter=0;iter<=20;iter + 1) begin mem_array[iter]=...

Part and Inventory Search

Back
Top