Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Rimon Selim

  1. R

    VHDL Error: cannot determine exact overloaded matching definition for "="

    ERROR:HDLCompiler:1731 - "C:/Xilinx/Processor/alu32.vhd" Line 87: found '0' definitions of operator "=", cannot determine exact overloaded matching definition for "=" =================================================== I am getting this error on all of the lines with "=" I am using a case and...
  2. R

    VHDL code to count leading number of 0s.

    I want to design a circuit with sequential coding to count the number of leading zeros, must be sequential. Example 00001101 has 4. Example 00000001 has 7. Thank you for the help. LIBRARY ieee ; USE ire . std_logic_1164 . all; -- ----------------------- ENTITY leading_zeros IS PORT ( data ...

Part and Inventory Search

Back
Top