Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by riky126

  1. R

    Verilog RAM initialization

    Hi everybody, this is my first post in this forum and i need your help :) I need to initialize different istances of the same verilog module RAM.v from a single data file for all instances (e.g. if i had 16 istances of RAM.v each with 10 WORD of 6 bit, then my data file consists of 10*16 lines...

Part and Inventory Search

Back
Top