Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by richa.verma

  1. R

    "setenv" command not found on my redhat how i will get the command?

    How did you solve the problem of "setenv"? I am getting same error, Could you please help me?
  2. R

    Mapped points and sequential merging in LEC

    Hello Could any one please explain the sequential merging and mapped point. Thanks
  3. R

    Dedicated and Centralized DMA

    Hello Could any one please differentiate between dedicated and centralized DMA in detail. Thanks.
  4. R

    SystemVerilog to SystemC

    Hello Please help me out in converting a SystemVerilog class to SystemC module. I have tried "scgenmod" command of ModelSim, but it is giving error Thanks in advance! Richa
  5. R

    [SOLVED] log base 2 in verilog

    Thanks kornukhin! It works!!
  6. R

    [SOLVED] log base 2 in verilog

    I have designed the function to calculate log base 2 and then calling it in module, but it is giving error "External Function 'logb2' may not be used in a constant expression" The code is: function integer logb2; // inputs input integer n; begin n = n-1; for(logb2=0...
  7. R

    How to define parameters in SystemC?

    Thanks for your suggestion. What about "GENERATE" statements of VHDL?
  8. R

    How to define parameters in SystemC?

    Hello Maulin Consider an example of bus. The bus is such that its width can be varied i,e., parametrized. entity xyz is GENERIC( P_BUS_WIDTH : NATURAL : 8; --! default bus width is 8 ); PORT( a : IN std_logic_vector(P_BUS_WIDTH downto 0); b ...
  9. R

    How to define parameters in SystemC?

    How can parameters(generics in VHDL) be included in SystemC? Right now I am trying to convert a code written in VHDL to SystemC. The VHDL code has some generics(parameters). How can I convert them to SystemC code?
  10. R

    Data transfer in USART through FIFO

    In USART, can CPU or DMA directly read or write data in FIFO? Or do i need to use separate register such that this register stores the data coming from CPU and then write this data from register to FIFO. like wise store data from FIFO to register and then send this data to CPU? Help me out!!
  11. R

    What is C based verification and why it is needed?

    Re: C based verification Thanks for your suggestion.
  12. R

    What is C based verification and why it is needed?

    What is C based verification and why it is needed?

Part and Inventory Search

Back
Top