Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Renato_PERU_1979

  1. R

    [Moved]: using measure in HSpice

    Hi erikl ! thanks a lot for your help! but the code didn't work yet. Now I'll tried to do a better explanation about the simulation that I want. The circuit is like this: Vdd 1 0 gs mn1 1 1 0 0 n L=2u W=2u .dc gs 0 2 1m .option probe .options dcon=1 .probe dc id(mn1) .probe dc...
  2. R

    [Moved]: using measure in HSpice

    People, please, I'm new in the use of HSPICE, so I need some helps. I tried to simulate somethings with a mosfet. and I tried to find the maximun point in the deriv('sqrt(id(mn1))') and the Vgs for that point, and the sqrt(id(mn1)) for the same Vgs point. I know that I can do that using par...

Part and Inventory Search

Back
Top