Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by rayudu419

  1. R

    sandeepani ,vlsi training bangalore?

    hi all, can any body help me ,how the training in sandeepani bagalore.what is about placements there.what are the companies visiting campus.please help me thanks
  2. R

    SCL chandigarh, APGD in vlsi

    scl chandigarh hi all, can any body know about SCL chandigarh,VLSI training.what is the status of placements and what is about course.what are the companies visiting for campus recruitment.please help me.please give me your mail id i will contact you. thanks
  3. R

    LSI logic Bangalore written test model( specific areas)

    lsi logic bangalore hello can any body send the written test pattern of LSI Logic bangalore .what are the areas they concentrate . bye
  4. R

    NEED LSI logic Banglore,written test model papers veryurgent

    hi all we are having campus interviews of LSI Logic banglore in this month.can any body help me to know the written test paper model.what are area they will cover in written test i.e Aptitude,etc... if any body attempted LSI test please reply me the areas they cover very urgent thanks
  5. R

    need book for verification

    hello can any body send the test book for verification thanks
  6. R

    need the E-book for System verilog and Systemc

    hello can anybody please send the any e-book for system verilog and systemc please upload these books thanks in advance
  7. R

    How exactly the calculation of CRC is implemented in hardware?

    hello Can any body help me how exactly the calculation of CRC is implemented in Hardware I am planning to improve the design of CRC? please give ur suggessions to improve design
  8. R

    NEED HELP(SYNORSYS TOOLS)

    HELLO EVERYONE CAN ANY ONE TELL THE PROCEDURE HOW START USING SYNOPSYS (DIGITAL DESIGN) TOLLS ,THOSE ARE DESIGN COMPILER,PHYSICAL COMPILER,VCS AND TIME PRIME TOOLS. PLEASE GIVE ME GUIDE LINES HOW TO START SIMULATION AND SYNTHESIS FOR VHDL OR VERILOG HDL'S THANK YOU
  9. R

    plz solve this question for me plz

    hi can any body help, How to use the Synopsys EDA Tools
  10. R

    How to use the Matlab command FFT to convert voice time domian to frequency domain?

    time shift fft matlab hi u go to the Matlab help ,enter the fft in search u will get full details of fft

Part and Inventory Search

Back
Top