Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ramanandn

  1. R

    bidirectional IO in Max II

    Hi all, I have implemented bidirectional IO in Max II as below: //----------------------- module bidir_io ( input wire in, input wire oe, output out, inout wire io ); assign io = oe ? in : 1'bz; assign out = io; endmodule //-------------------------- I instantiate this module for bi-dir...
  2. R

    [SOLVED] modelsim: Illegal output or inout port connection

    I understand that.. it is still output out of the top level. It is input to the testbench. I don't use it in the tb.
  3. R

    [SOLVED] modelsim: Illegal output or inout port connection

    Sorry I didn't understand. The top level pin cannot be input??!
  4. R

    [SOLVED] modelsim: Illegal output or inout port connection

    Hi guys, I have an output port (reg) in a module. That module is instantiated in my top level module, with that output signal as wire to a pin on the CPLD. I don't assign anything to this in my tb (since it would be an input into the tb). But I still get the above error from Modelsim. The...
  5. R

    modelsim error - vlog-2210- illegal reference to net<..>

    Thanks so much sam... will go through this post back. Much appreciated.
  6. R

    modelsim error - vlog-2210- illegal reference to net<..>

    I have the free modelsim altera version.. no clue how to debug on that tool when simulation fails :(
  7. R

    modelsim error - vlog-2210- illegal reference to net<..>

    Thanks for the reply sam. I don't understand... you want me to check code after 'dap3_din = 1'bz;' ?
  8. R

    modelsim error - vlog-2210- illegal reference to net<..>

    Not sure if this is relevant but looks like it points to the inout (bidirectional) ports only... wonder why?
  9. R

    modelsim error - vlog-2210- illegal reference to net<..>

    I have attached a portion of my code below; while running Modelsim Altera, I get the above error. Basically, based on the 4 bit dap_route code, I either loop-back some ports or route to outside CPLD (Max II). module top_v ( //DAP1 inout wire dap1_fs, inout wire dap1_sclk, input dap1_dout...
  10. R

    2 bit counter as finite state machine

    As long as you know what state flows into what and all states are accounted for, the above link will help you out.
  11. R

    floating inputs and outputs

    Floating outputs are not as much of a problem to you compared to floating inputs, which would need to be pulled up or down to a defined state.

Part and Inventory Search

Back
Top