Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Preiner Zoltán

  1. P

    [SOLVED] 32-to-1 multiplexer VHDL CODE Simplification

    Hello! This is a code from a program and I was wondering if there was a way to simplify it with a for loop? Thank you for your help!:wink: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; package fuggveny1 is function multi321 (A,B ...

Part and Inventory Search

Back
Top