Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by praveenvanaparthy

  1. P

    fpga implementation of image enhancement(image processing).

    hi friends am trying to do "hardware implementation of image enhancement". for this i wrote simple verilog codes( negative transform, threshold, brightness control, contrast stretching). these codes i wrote using simple logic, it's simulated and synthesized also. but my problem is hardware...
  2. P

    how to design 8 to 256 decoder..

    how to design 8 to 256 decoder .. any simple logic is there to write code in verilog ..
  3. P

    how to convert image(jpeg/bmp) to .coe file

    hi. please tell me how to convert image to .coe file . am using it for xilinx. is this using matlab or any direct procedure is there using xilinx ise.
  4. P

    converting jpeg file to ceo file

    k .. please tell me how to convert an image to a .coe file.
  5. P

    converting jpeg file to ceo file

    hi .... this is praveen am also doing same type of project .. am writing code for image enhancement. first am taking simple negative transform. now am try to implement it on hardware. my doubt is "how to generate .coe file from jpeg/bmp." is it directly come by giving image file to...
  6. P

    image processing using fpga.

    hi , am trying to write code for image processing. for this first i just write data in one file to another. my code is compiled successfully and simulated also. but doesn't create output file am trying to write the data in input file into output file. but its not created any output file. i made...
  7. P

    vhdl code for Image processing

    hi , Am also have same problem am trying to write code in verilog. my code is compiled successfully and simulated also. but doesn't create output file am trying to write the data in input file into output file. but its not created any output file. i made some changes also using commands like...
  8. P

    image enhancement using fpga.

    could you please give some examples for read&write text files( or bitmap) in verilog . its important for me . please...
  9. P

    reading and writing a text file in verilog

    hi .. i have a problem of read&write text/doc files in verilog. i want to read in.txt file and write the data into out.txt . am using $readmemb("in.txt",w); and $fopenr("in.txt"); and for writing i open a file=$fopen("out.txt"); the program shows errors. am write one separate prog for...
  10. P

    image enhancement using fpga.

    do you have any reference code for this .. please send it to my mail praveenvanaparthy@gmail.com
  11. P

    image enhancement using fpga.

    yes , first i want to check it for simulation. and is there any possible to "read direct image(.jpeg) file into verilog and process it" without creating .txt file .
  12. P

    image enhancement using fpga.

    k i am done image negative transform using matlab(S=L-1-r). and create r.txt file . now coming to verilog coding am try to call r.txt file into my program using a=$fopenr('r.txt') my image size is 128x128 (ie, ixj). i=128, j=128. in r.txt filr it shows pixel values from 1 to 16384...
  13. P

    image enhancement using fpga.

    hi , this is praveen am doing my project on image processing(enhancement). this i want to implement on vertex2pro fpga kit . i need some suggestions to do this . i need source code . please give me some suggestions -how to read a image file into verilog/vhdl code . - how to implement...

Part and Inventory Search

Back
Top