Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by picaso

  1. P

    Help me fix VHDL syntax errors

    Re: need help on VHDL error Thank you FvM But the project has to be done with 16v8, for the communication part parallel to serial and serial to parallel. Thank you
  2. P

    Help me fix VHDL syntax errors

    Re: need help on VHDL error Thank you FvM somehow in my back of my head i knew where was the problem i just could not explain why. i think i am gonna use one more 16v8. one for the serial to parallel and the other one for the BCD conversion. Maybe if i use a 22v10 could work. i will give it a...
  3. P

    Help me fix VHDL syntax errors

    Re: need help on VHDL error after some idea come to me i change my code to this library ieee; use ieee.std_logic_1164.all; entity shift is port(C, SI : in std_logic; PO : out std_logic_vector(3 downto 0)); end shift; architecture archi of shift is signal tmp1...
  4. P

    Help me fix VHDL syntax errors

    need help on VHDL error hello my project is synchronous communication between two 16v8. THe first 16v8 would take a signal from an 8 dill switch and using serial communication will communicate with the second one 16v8. From the second 16v8 a bcd decoder 74ls47 will be connected and then a 7...

Part and Inventory Search

Back
Top