Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by pdilmore

  1. P

    Why I have If-then VHDL errors in my code?

    vhdl if outside process You only show snipets of your code but I see one thing. Is the IF-THEN within a process? If not try somehting like this: process (inputs for sensitivity list) begin if (something = some_value) then out <= this; else out <= that; end if; end...

Part and Inventory Search

Back
Top