Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by pavan.ps

  1. P

    How to pass data b/w Synchronous Domains

    Sample the data with the negedge of high sync clk and use it with the low sync clk Data rate should be compatible with low sync clk.
  2. P

    frequency divider by 2 in Verilog?

    always@(posedge clk or negedge rst) if(rst) q<= '0'; else q<= ~q;
  3. P

    Digital to Analog conversion

    the code provided by you gives only digital output. DAC_OUT(8-bit). If u need the analog signal, u need to feed this digital output to a digital-to-analog converter to give analog signal. So, If u hav a 8-bit signal, interface the FPGA to DAC such that you get the analog output. Hope this helps..
  4. P

    Verilog how to lock value?

    Can you tell the clock u use to generate the A signal? Say, if u generate the A signal with a lesser clock than clk then counter increments many times.
  5. P

    What is an easy way to multiply a binary number by 255?

    Re: Help Required! x*255 = x*256 - x*1 = Shift by 8 - x Hope this helps..
  6. P

    How can I implement a 4-variable function using 4-to-1 mux?

    Re: How can I implement a 4-variable function using 4-to-1 m You can find the solution for function implementation using multiplexers in morrismano. Chapter 5 and page 179.
  7. P

    modelsim 6.2g - looking inside RAM

    Re: hekp with RAM In the modelsim window, Under workspace Tab , click on the sim tab and the find the instance of the RAM. Right-click on the instance and select "add to wave."
  8. P

    Error in textio read when simulating a testbench in Modelsim

    Re: About textio read? i doesnt find any error.. So, check the declarations and the type of data in the .txt file
  9. P

    verilog to vhdl translation 1

    Hi.. Go thru this piece of code VHDL. if(wb_i_adr[31 downto 30] = "11") then sel_ram <= op; elsif(wb_i_adr[31 downto 30] = "00") then sel_rom <= op; elsif(wb_i_adr[31 downto 30] = "01") then sel_io <= op; end if;

Part and Inventory Search

Back
Top