Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by papetorh

  1. P

    How can i work complex floating operation in vhdl ?

    either way how can i make it run on ISEwebpack? or what you might suggest? https://www.edaboard.com/threads/301018/
  2. P

    How can i work complex floating operation in vhdl ?

    Thanks, what librarie supports de division of the 2 reals. I am using fractions, real numbers, and decimals, also vectors and matrix. elsif (anglein>angle(fia,coa+1) and anglein<angle(fia,coa+2) and etiquetas(fia,coa+1)="lfunction") then Line 142...
  3. P

    How can i work complex floating operation in vhdl ?

    Libraries : library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_arith.all; use IEEE.math_real.all; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use...
  4. P

    How can i work complex floating operation in vhdl ?

    I need some library packages for my vhdl in ise webpack to make my code run, i ve been having errors due to complex floating operations and the libraries included are not enough, also i need to make it sintetizable for my board!.
  5. P

    Error Line 135: found '0' definitions of operator "+", cannot determine exact.......

    Re: Error Line 135: found '0' definitions of operator "+", cannot determine exact... Why meaningless i mean real types are for pendiente and fia , coa are integer numbers and angle is an array real
  6. P

    Error Line 135: found '0' definitions of operator "+", cannot determine exact.......

    Re: Error Line 135: found '0' definitions of operator "+", cannot determine exact... Thank you very much for the quick response. Really apreciatte it. Here are the libraries i used: library IEEE; use IEEE.STD_LOGIC_1164.ALL; --Uncomment the following library declaration if using...
  7. P

    Error Line 135: found '0' definitions of operator "+", cannot determine exact.......

    Error Line 135: found '0' definitions of operator "+", cannot determine exact....... Line: 134 elsif (anglein>angle(fia,coa+1) and anglein<angle(fia,coa+2) and etiquetas(fia,coa+1)="lfunction") then Line 135: pendiente:=(-1/(angle(fia,coa+2)-angle(fia,coa+1))); Line 136...
  8. P

    how can i access signals from differents entities within my code in VHDL?

    Thank you so much for the quick answer. can you show me how can i do this with the port map command? Thanks again
  9. P

    how can i access signals from differents entities within my code in VHDL?

    Hi thanks in advance for the help. bit how can i access signals from differents entities within my code ? ( in VHDL)
  10. P

    how can i access signals from differents entities within my code ? ( in VHDL)

    how can i access signals from differents entities within my code ? ( in VHDL) Thanks

Part and Inventory Search

Back
Top