Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ouahhabi5

  1. O

    all_registers command

    all_registers I use all_registers command in dc_shell to display all FF in my design, unfortunately, it complet the list by "...}". I want the list of all registers displayed. If some one have any idea I will be very gratful
  2. O

    divide the clock frequency by 2

    use a D filpflop and connect the previous clock on tne flipflop's clock the inout D is feedback by Qbar. the new clock is Q
  3. O

    Can I have 427 input and 432 "set_driving_cell" declarations?

    Re: problem declaration the tool that I use is "dc_shell-t" of synopsys. if the "set_driving_cell'' number declaration is more than input number, it mean that some inputs have more than a one driving_cell -> diffrents transition time, so how can "dc_shell" analyse the timing
  4. O

    Can I have 427 input and 432 "set_driving_cell" declarations?

    I want some one to tell if it is normal or no: I have 427 input and 432 "set_driving_cell" declaration normally, we must have the same number. thanks for every body[/code]
  5. O

    How to know the number of set_driving_sell in a design ?

    help set_driving_sell How can I know the number of "set_driving_sell" that is declared in my design. I want a command thanks for every body
  6. O

    How to setup DC of windows version?

    I give the solution. I have already worked by this version. If you want syntisis correctly you design you must give to synopsys a lib technolgi but you can work by synopsys lib. to do it folow this instruction: - in link library click on brows then go to 'library' dir and open 'syn' directiry...
  7. O

    Chip Synthesis Lab_CHIP HELP

    Hello every body, nice to meet you again. plz please if someone has the 'Chip Synthesis' for synopsys training (Lab_CHIP training) give to me plz. I have the guide catalogue and I want the files to work. I don't find it in synopsys's SolvNet because the files were been replaced by another...
  8. O

    Synopsys install guide - pdf file

    the link doesn't work for me
  9. O

    help script dc_shell-t

    this is a very great help from you nand_gates thanks you very much realy i dont know what can i do without this forum. plz nand_gates can you tell more about DC training material and if you have it can you give it to me. thank you again and again[/img]
  10. O

    help script dc_shell-t

    dc_shell versus dc_shell-t I know that is a stupid question but I am just a bigginer in this thinks, I want write a script by tcl language that actions : -Reset design -ceation the clock -force the inputs port except the port clk -force the outputs port -adopt the operating conditions which...
  11. O

    Help me with dc_shell-t instruction

    Re: help dc_shell-t thank you Nandy you have found the error, it is working now by the way I have already defined the clock, thank you very much
  12. O

    Help me with dc_shell-t instruction

    some helps me please. I don't know why this instruction don't function dc_shell-t > set_input_delay 1 –max -clock myclk [remove_from_collection [all_ inputs] [get_ports Clk]] if some find there is some errors tell me please and explain thanks for every body

Part and Inventory Search

Back
Top