Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Ohman

  1. O

    [SOLVED] Are my solutions correct?

    Ok but then i assume i would have to change the order, dependent on the order i wrote the ports, so this would be correct: bit1: FullAdder port map(X(0),Y(0),Ci,S(0),c(0)); am i right?
  2. O

    [SOLVED] Are my solutions correct?

    Oh yes forgot to change that, does it look OK except for that? thanks
  3. O

    [SOLVED] Are my solutions correct?

    Thanks for the help!, i added carryin and carryout for the entity, but im not sure if i used them correctly in the behavorial. Changed code is in bold font!
  4. O

    [SOLVED] Are my solutions correct?

    Hello, im practicing on my exam to come, and im trying an old exam, i did answer two questions but im very unsure wether they are correct or not, since it was a long time since i wrote VHDL. I would appreciate if someone could check those answers and tell me if something is wrong :). a) using...

Part and Inventory Search

Back
Top