Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by numair_noor

  1. N

    Need circuit for microvolt detection

    Hi, I am looking for a circuit to measure the voltage of a DNA dipole. The voltage could be around 125 micro volts. but it could be better to have a circuit which could detect between 50uV to 200uV. looking for earliest replies. thank you.
  2. N

    Motion detection by Temporal differencing (matlab code needed )

    hi friends, i need matlab sample codes for: 1--Motion detection by ''Temporal differencing"" 2--- By background modeling 3--- Principle Component Analysis please help me. thanks so much in advance :)
  3. N

    [Moved] charging 12v 175ah battery with 24v ups directly.

    Re: charging 12v 175ah battery with 24v ups directly. thanks , i guess i should connect it back to its position.
  4. N

    [Moved] charging 12v 175ah battery with 24v ups directly.

    hi, i want to know if i can charge my 12v 175ah battery with my 24v inverter+converter directly or not. previously there were two batteries connected in series. but they were not giving the backup time as expected. they could only give half hour backup time for just 3 or 4 bulbs of 24watt power...
  5. N

    does masters in FPGA leads to communication or power engineer career

    hi, i want to apply in manchester metropolitian university uk. and i have done electronic engineering (BACHELORS). i want to apply in masters course there. but i want to change my course having major of communication engineer. please help me select the course. there are two choices. 1...
  6. N

    [SOLVED] How to test genius g540 programmer

    hi all. the problem is solved. guess how?? i attached 10uF capacitor and 10K resistor on reset pin of microcontroller. and its working just fine. plus vpp of 5v on pin number 31, vcc on pin # 40 and ground on pin # 20 i am laughing at myself. hahahahha.. how silly i am to forget this...:P...
  7. N

    [SOLVED] How to test genius g540 programmer

    i did not give vpp on pin no. 31 before. but even after giving it 5 volt vpp. its not working. may be controllers are faulty :(
  8. N

    [SOLVED] How to test genius g540 programmer

    hi, i have baught genius g540 two days ago. and since then i am trying to blink an led on P1.B0 of atmel at89c52 on bread board. i am using mikroc pro for 8051 software for creating hex file. and using g540 software to program microcontroller. things i know for sure . those are properly...
  9. N

    LCD TAb Problem

    and what is this tt?? its an array, iam supposing that you are storing two bytes in this array. which means that two other bytes are empty. try to make it tt[2],if you are using two bytes data.
  10. N

    LCD TAb Problem

    and what is this tt?? its an array, iam supposing that you are storing two bytes in this array. which means that two other bytes are empty. try to make it tt[2],if you are using two bytes data.
  11. N

    LCD TAb Problem

    try using Lcd_Cmd(_LCD_CLEAR); // Clear display
  12. N

    8051 digital clock not working

    albert22 is right. you should post your code and schematic. so the code can be made. otherwise no one will tell you how to do it.
  13. N

    Help me in pulse oximeter code plzz

    you should post your code too. may be one can look at it. and tell u algorithm.
  14. N

    [SOLVED] atmega16 serial communication

    #include <avr/io.h> #include <avr/interrupt.h> #include <util/delay.h> #define SETBIT(ADDRESS,BIT) (ADDRESS |= (1<<BIT)) #define CLEARBIT(ADDRESS,BIT) (ADDRESS &= ~(1<<BIT)) #define FLIPBIT(ADDRESS,BIT) (ADDRESS ^= (1<<BIT)) #define CHECKBIT(ADDRESS,BIT) (ADDRESS & (1<<BIT)) #define...

Part and Inventory Search

Back
Top