Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by NJ176

  1. N

    Spartan - 3A ADC and DAC interface not working

    DAC Code for Spartan 3A Hello! I am trying to interface the DAC of the Spartan-3A board with the FPGA. I have written a VHDL program for the same but it doesn't seem to be working. Can anyone please help me out? I have used a logic analyzer to display the signals: Channel 0 : DAC_CLR1...
  2. N

    Use two separate codes in FPGA

    Hello! I am working on interfacing the ADC and the DAC of a Spartan-3A starter kit. I have written a code for the ADC interface and DAC interface separately. I wanted to know how I can use these two separate codes together? Can I program the FPGA with more than one bit file? If so, how can I...
  3. N

    Spartan - 3A ADC and DAC interface not working

    Hello! Thanks for your reply, again! Yes, I am using the starter kit with reference to the timings given in the datasheet. I am using a DC supply with a constant DC output and I have checked multiply values by changing the values. However, the problem remains that all of the LEDs are either...
  4. N

    Spartan - 3A ADC and DAC interface not working

    Thank you for your reply! For the supply, I am supplying from a function generator a voltage of around 0.5-0.8V for test. The Amplifier and the ADC are connected as shown in the datasheet, page 77: https://www.xilinx.com/support/documentation/boards_and_kits/ug330.pdf I have checked the...
  5. N

    Spartan - 3A ADC and DAC interface not working

    Hello! I am working on ADC and DAC interface for Spartan - 3A board and I have checked the output of the amplifier which works. But I am having issues with ADC and DAC. I am displaying the 8 MSBs of the ADC on the LEDs, but all the LEDs are always lit. I had set the clock the frequency to...
  6. N

    ADC and DAC interface for Spartan - 3A

    Apologies, that was the old code. This is the one I am using. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use...
  7. N

    ADC and DAC interface for Spartan - 3A

    Hello! I am trying to interface the ADC and DAC of the spartan - 3A starter kit. I have written a code but when I implemented it, result is not correct. I have directed the first 8 MSBs on an LED to see the output. All the LEDs are always on, however the first LED (MSB) turns on and off when...
  8. N

    Amp ADC interfacing using VHDL for Spartan-3A

    Thank you so much for all your replies, especially ads-ee. Could you please help me figure what does mclk do? Because I have checked the data sheet and I am still not able to figure it out. The data sheet only mentions the use of one clock. And mclk is an input, so where would this input come...
  9. N

    Amp ADC interfacing using VHDL for Spartan-3A

    Hello! I am relatively new to VHDL. I have tried some smaller tasks and have been successful. I have been working interfacing amp and ADC of a Spartan-3A starter kit for my project. I have been working on it for months and haven't been able to make any progress. I got a reference code from...

Part and Inventory Search

Back
Top