Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by NightOWL

  1. NightOWL

    RPN Calculator using VHDL

    Hello! I have to design a RPN calculator that can perform +, -, AND, OR and brackets using VHDL. I used Dijkstra's Shunting Yard Algorithm to transform the introduced expression into a postfix expression (this works fine). However, the calculation part isn't working. I saved the postfixed...
  2. NightOWL

    Specialized calculator using VHDL

    Thank you for your answers! I tried to code the RPN calculator that you suggested in your first reply. This is what I got so far: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity calculator2 is port(x: in std_logic_vector(4 downto 0); operation: in...
  3. NightOWL

    Specialized calculator using VHDL

    Thank you for your answer! I tried to write the code for the calculator using the stacks that you suggested. This is how I codified the operations: A+B: 00000, A-B: 00001, A AND B: 00010, A OR B: 00011, (: 00100, ): 00101, =: 11111 and this is what I have written so far: library IEEE; use...
  4. NightOWL

    Specialized calculator using VHDL

    Hello, I have to project a specialized calculator on a Basys3 board using VHDL. The calculator should be able to group numbers using brackets, perform additions and substractions, AND and OR operations. For example, an expression could be: 4 + 5 AND 6 +(7 OR 1) - (4 AND 10) The input numbers...

Part and Inventory Search

Back
Top