Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by nids

  1. N

    reading image file in verilog

    yes u r true :) i never think like that so can you please tell me what can i do for that?
  2. N

    reading image file in verilog

    Hi I did that but now it shows some error that undefined "EOF" how can i solve that ? i am using modelsimSE 6.4 thanks - - - Updated - - - this is my verilog code : integer char = 32'b0; integer file; `define EOF 32'hffff_ffff initial begin file = $fopen("cur_img.txt","rb")...
  3. N

    reading image file in verilog

    can i do something like this? //integer file, char,i; // initial begin // file = $fopen("cur_img.txt","rb"); // char =$fgetc(file); // $display("output_data:"); // for (i=0; i < 10; i=i+1) // $display("%d:%d",i,char); // end
  4. N

    reading image file in verilog

    Hi, i have one text file which is the output of unsigned value of character of one .raw image file. can you please tell me which function can i use to read that file? i used "readmemh" but its only for hex value but i have unsigned character value. please tell me how can i proceed to read that...
  5. N

    reading image file in verilog

    Hi, i have one text file which is the output of unsigned value of character of one .raw image file. can you please tell me which function can i use to read that file? i used "readmemh" but its only for hex value but i have unsigned character value. please tell me how can i proceed to read that...
  6. N

    reading image file in verilog

    hi, Thanks for reply :) can you give me verilog file for the same? how can i read and write that data? i am doing project for motion estimation thanks
  7. N

    reading image file in verilog

    Hi all, can anyone tell me how to read .raw image file in verilog ? Thnaks,

Part and Inventory Search

Back
Top