Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by NickDefick

  1. N

    How to use T flip flops in vdhl to simulate LED's blinking on/off

    Hi, I am new to vhdl, I would like to modify this code to simulate 4 led's that the following: 0 = on x = off clock 1: 0000 clock 2: 000x clock 3: 00xx clock 4: 0xxx clock 5: xxxx clock 6: xxx0 clock 7: xx00 clock 8: x000 clock 9: loops the code back to what clock 1 is like. This is what I...

Part and Inventory Search

Back
Top