Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by nehat

  1. N

    How to compile cryptol

    i am writing DES algorithm in cryptol for very firs time. how can i compile it to verilog?? Best Regards
  2. N

    clear a file in verilog

    hi, I amusing $fopenw and $fwrite for dealing with my filein my verilog code. every time my code enters always@(posedge clk) block , i want to rewrite my file (not append to the end of the file), in other words i want to clear my file and then write it again. is there any way to clear a file...
  3. N

    verilog or VHDL to other processor's machine code converter

    Hi, I want to know that is it possible to convert verilog or VHDL to other processor's assembly code(such as Intel) ?? is there any special converter?? tnx

Part and Inventory Search

Back
Top