Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by NeginMadani

  1. N

    VHDL code weird type error

    The testbench worked fine for my behavioral code, but I get the error when I try to use it for my structural gate-level netlist: ------------------------ --header file ------------------------ library IEEE; use IEEE.std_logic_1164.all; entity inv is port(inb: in STD_logic; outb: out...
  2. N

    VHDL code weird type error

    I would really appreciate your help. I wrote the following testbench code to test my 6th order FIR filter. It worked perfectly for my behavioral code, but when I try to use it after synthesis for my structural gate-level netlist, I get this error: LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE...

Part and Inventory Search

Back
Top