Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by navie

  1. N

    What's the best VHDL/Verilog/SystemVerilog editor?

    systemverilog nedit I found gvim is a good editor once you get hands on it,since I haven't used emacs
  2. N

    Techniques for making clock switching glitch free

    Clock Switching there is a kind of 2 register-based method, but it is patent as i know
  3. N

    Simple verilog question

    the simulator simply doesn't compile or issue an error
  4. N

    Looking for two verification books

    verification book? that is indeed cool!

Part and Inventory Search

Back
Top