Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by NanhTrang

  1. N

    Synopsys DC chip synthesis workshop!

    synopsys workshop manual If anyone have the latest version pls update for all of us. Thank you
  2. N

    DC0509 setup problem!

    synopsys dc cannot open key file I finish all the steps above, when typing dc_shell I got error: Fatal: Design Compiler is not enabled. (DCSH-1) Does anybody know how to fix this? Many thanks.
  3. N

    Books: "Basic VLSI Design", "Silicone Nanoele

    basic vlsi design Hi, Thanks for your recommendation. It's actually SILICON NANOELECTRONICS by Shunri Oda and David Ferry. The book can be found at gigapedia.org
  4. N

    Blocking and Non-Blocking assignment

    verilog blocking vs non blocking assignment Hi, @Frank: Maybe I'm not good enough to code mix blocking and non-blocking assignments so I just simply separate them. @Tauqueer: Please take a look at the paper I posted above. It have everything you are asking for.
  5. N

    which book for Verilog HDL

    hi cj007, can you share it here so everybody can download it? Thanks!!!
  6. N

    how to start to learn Synopsys Design Compiler?

    synopsys design compiler I found this link abt synopsys training materials: Chip Synthesis Workshop Lab Guide 10-I-011-SLG-010 Version 2003.06SP1 Is there any newer version?
  7. N

    which book for Verilog HDL

    Verilog HDL: A Guide to Digital Design and Synthesis, By Samir Palnitkar is a good start. You can go to gigapedia.org and download it.
  8. N

    Blocking and Non-Blocking assignment

    blocking and non blocking Well have a look at this. It's got a good explanation what we should use in each case.
  9. N

    Blocking and Non-Blocking assignment

    blocking and non-blocking assignments Hi, Correct me if I'm wrong. There's some guideline that we should follow: 1. When modeling sequential logic, use nonblocking assignments. 2. When modeling combinational logic with an always block, use blocking assignments. These can help you avoid all the...
  10. N

    need TSMC 018 design kit!

    If anyone have the standard cell library 0.18um to run synopsys please share...or tell me where I can download this.... many thanks.
  11. N

    Improving the FPGA design skills

    Is it possible that some one have any documents can post here? Thanks alot :)
  12. N

    My VHDL tricks collection.

    tricks for vhdl Please post if you have any more tricks!!
  13. N

    Books: "Basic VLSI Design", "Silicone Nanoele

    basic vlsi design Is there anyway I can download the books that atena suggest? Thanks.
  14. N

    Problem with installing ModelSim

    xilinx modelsim licence.dat You should download the latest version on ModelSim website and request a new license key.
  15. N

    How the gate count of a design is determined?

    gate count area here's the equation: gate count = Total area / area of NAND2 gate So you got to run a simple nand2 gate to see it's area, then use the above formula

Part and Inventory Search

Back
Top