Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by nandini0024

  1. N

    how to convert 1D FDCT to 2D FDCT in verilog ?

    hi, i am facing problem in converting 1D FDCT input to 2D FDCT output in verilog. can u please help me in writing a program for it. thanks.

Part and Inventory Search

Back
Top