Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by nagulapatigirireddy

  1. N

    How to use the verilog obfuscator?

    yes. But how to set the path (first point in userguide)
  2. N

    How to use the verilog obfuscator?

    the file has been attached which is downloaded from **broken link removed**
  3. N

    [SOLVED] Area overhead pertaining to VLSI

    Could anyone explain what is area overhead regarding vlsi?
  4. N

    How to find delay from Xilinx synthesis report and what is combinational path delay

    The following code is for 4 bit ripple carry adder. In order to reduce delay, I inserted registers in the critical path. I need delay from 1st input to cout. In the report there are several delays and offsets. I have bolded the delays and offsets in the report. Code: module...
  5. N

    How to find delay from Xilinx synthesis report and what is combinational path delay

    Altera Quartus II web edition How to find area, delay, and power of a verilog design?
  6. N

    How to find delay from Xilinx synthesis report and what is combinational path delay

    How to find delay from Xilinx synthesis report and what is combinational path delay Final Report * ========================================================================= Final Results RTL Top Level Output File Name : ripple_carry_4_bit.ngr Top Level Output...
  7. N

    Mathematical model pertained to architectural synthesis

    Could any one explain what is meant by a mathematical model pertained to architectural synthesis and could you please provide some mathematical models as example...I downloaded some articles but I cant decide which one I should choose.. Thank you
  8. N

    [Moved]How to convert a vhdl code to verilog code

    this is the code for converting decimal to binary in vhdl.How to cinvert a vhdl code to verilog code library IEEE; use ieee.std_logic_1164.all; use IEEE.numeric_std.all; -- entity decimal is end decimal; architecture beh of decimal is signal my_sulv1 : std_ulogic_vector(15 downto 0); signal...
  9. N

    [SOLVED] VHDL and Verilog code for following

    I need vhdl and verilog codes to convert decimal numbers to binary and bcd

Part and Inventory Search

Back
Top