Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by naavid

  1. N

    Can you please help me to solve this assignment question

    This is great help from side. Very nice of you, Thanks.
  2. N

    Can you please help me to solve this assignment question

    Dear Friends, So far i didn’t get any clue how to solve this question, can you please help me on this? A maximally flat low-pass filter is to be designed with a cut off frequency of 5.6GHz and a minimum attenuation of 20dB at 10GHz. How many filter elements are required?
  3. N

    [SOLVED] 4 bit ripple counter using four D flip flops

    Dear Rohit, Really thanks. It works.............................
  4. N

    Please help to write VHDL code of following counter

    Please tell me where i am wrong...... library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity up_counter is port ( coutQ :out std_logic_vector (1 downto 0); -- Q Output of the counter coutQN :out std_logic_vector (1 downto 0)...
  5. N

    Please help to write VHDL code of following counter

    Can you please help me to write VHDL program for the ones-counting state machine as described by the following state table C D Q QN 1 0 0 1 1 1 1 0 0 X last Q last QN Many thanks,
  6. N

    [SOLVED] what limits the maximum counting speed of a ripple counter

    What limits the maximum counting speed of a ripple counter, if i don't inist or able to read the counter value at all time???
  7. N

    Logic Symbol for and determine the size of ROM with 8x8 combinational multiplier

    Can you please help me to draw a logic symbol for and determine the size of a ROM that realizes an 8x8 combinational multiplier???
  8. N

    [SOLVED] 4 bit ripple counter using four D flip flops

    4 bit ripple counter using four D flip flops without using other components. Can you please help.........
  9. N

    Setup Time of pulse triggered flip flop Maser/slave or SR flip flop

    what is the minimmum setup time of a pulse triggered flip flop such as a master/slave JK or SR flip flop??
  10. N

    Write VHDL programme; please help

    Can you please help me to write VHDL program for the ones-counting state machine as described by the following state table C D Q QN 1 0 0 1 1 1 1 0 0 X last Q last QN Many thanks,

Part and Inventory Search

Back
Top