Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by mzaina

  1. M

    seconds on 7 seg display verilog code

    seconds on 7 seg display you are right it was missing always@ (posedge clock_1Hz) and i add if ... if else and it worked ... Thanks a lot
  2. M

    seconds on 7 seg display verilog code

    seconds on 7 seg display i need this clock to generate 1 Hz clock means time= 1 second from internal clock 27Mhz. can i write always inside always?
  3. M

    vending machine in verilog

    I need to design vending machine in verilog that sells 10 items and accepts 25,50,100 and 500 and return change. on an FPGA DE2 board. dont know how to start. need urgent help please.
  4. M

    How to input digit using push button with FPGA board?

    try to work with switch instead, if it works then the problem is in the pusbutton.
  5. M

    seconds on 7 seg display verilog code

    seconds on 7 seg display thank you for trying to help me, but still it displays 00 on 7 seg displays before and after your suggestion although the 1Hz clock working properly !!
  6. M

    URGENT guidelines and references for "Bypass Blocking R

    Hi all, I need urgent guidelines and references for university report which is about "Bypass Blocking Router "i.e. if the government block a site how can some software make it unblock? Thanks,
  7. M

    seconds on 7 seg display verilog code

    seconds on 7 seg display hi all i need help with this verilog code. it supose to display the seconds from 1s to 60s on 7 seg displays and reset. no errors but wrong output :cry: please help . module seconds (clock,counter,clock_1Hz,enable,counter_s0,Hex_s0,counter_s1,Hex_s1); input...

Part and Inventory Search

Back
Top