Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by mtantawy1

  1. M

    Verilog Divider/Multiplier/Adder Integers Question

    Can you please be more specific? I looked up fixed point in google and didn't find any good source. Can you recommend a good source or help me with a basic fixed point adder? I tried to understand this https://amakersblog.blogspot.com/2008/07/fixed-point-arithmetic-with-verilog.html but as it...
  2. M

    Verilog Divider/Multiplier/Adder Integers Question

    Hi, my code currently looks like this. Is there any way my code can accept non integer numbers (A=3.05). I read that my output has to be a reg type so how can we represent multiplication, division or addition using non integers? For ex. 3.05 + 1.07 or 1.06*5.01. If the output must be of type...
  3. M

    [SOLVED] Verilog Signed multiplication Help

    Thank you so much!!!!! I spent hours on this over something so simple. I thought specifying my variables in the testbench as integer Verilog automatically recognizes the value as signed.
  4. M

    [SOLVED] Verilog Signed multiplication Help

    Hi, I'm new to verilog and have a question about signed multiplication. My program works for positive integers but fails for negative numbers. Below is the code with test bench. module multiplier(clk,X, h_0, h_1, h_2, h_3, h_4, Y); input clk; input [4:0] X; input [3:0] h_0; input [3:0] h_1...

Part and Inventory Search

Back
Top