Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by mrflibble

  1. mrflibble

    Field Programmable Transistor Array (FPTA)

    Why not send an e-mail to one or more of the people doing research? That sort of thing actually has been known to work. ;) They might point you in the right direction.
  2. mrflibble

    upcounter and updown counter power and area

    I'd expect that a 6-bit updown counter will take at least as much power/area as 6-bit upcounter, and possibly a bit more. I'd expect area increase to be slightly more pronounced than power increase. But as TrickyDicky said, try and find out for yourself. :)
  3. mrflibble

    Serial lvds adc ads5263

    You could probe the LCLK or ADCCLK signal to see if you actually get a stable clock there. Seeing your clock signal on an oscilloscope tends to generate "ah hah" moments every now and then. Or if that's not an option for whatever reason you can sample those same signals in chipscope as already...
  4. mrflibble

    Best ADC to interface with DE2-115 board with parallal data out

    This should get you started: https://www.ti.com/lsds/ti/data-converters/analog-to-digital-converter-products.page#p84=8;10&p1089=1000;5000000000 Not that you should use TI per se, but the parametric search should get you some idea of all the options out there.
  5. mrflibble

    how to assign values to wire in verilog

    You should show the code for your mac unit. Not sure if you should actually be using an assign, but since you ask for it and show no code to base better advice on ... https://www.asic-world.com/verilog/synthesis3.html
  6. mrflibble

    How Convert this system verilog code to verilog?

    Looks like assert is the only statement you need to take care of. Several options: The "who cares" option. Any decent simulator will handle SV these days. So if your simulator supports SV you could just use SV for your testbench and verilog for sysnthesis. The "oh alright, I will Read The Fine...
  7. mrflibble

    Adc-fpga-dac interfacing vhdl

    Genius! You incredible ... human, you! Thanks for a good chuckle. Allow me to paraphrase: "Yes K-J, you are absolutely right. Getting the testbench in order should really be my priority at this stage, because that will make the debugging that much easier now AND in the future. When I have that...
  8. mrflibble

    how to interface adc with fpga

    And it will also give you an extra perspective on how to write technical documentation. ;-)
  9. mrflibble

    systemC language Future

    Nope, no C++ required. You only need it when you want to do stuff that is easier to get done in C++ than in SystemVerilog. And I should add that the I only ever used the C++ part for modeling and simulation, not for implementation. That way you can make a working behavioral model with mostly...
  10. mrflibble

    how to interface adc with fpga

    Suggesting opencores ... now that's just cruel. Then again the OP deserves it, so karmically it all evens out.
  11. mrflibble

    systemC language Future

    *grin* Not only amusing, but too true as well. In addition to Dave's explanation a 100% personal and thus possibly quite random observation... Some time ago I tried SystemC along the lines of "mmh, lets see what this can do". The experience was so-so. Maybe due to lack of tools/libraries back...
  12. mrflibble

    How to Connect External Memory to Altera FPGA

    Nah. He just doctered the paths and then took a screenshot. That's what I'd do to mess with people. XD Good call. I did notice the ZZZ signal in the testbench, but that was hardly unique given all the Z's. But that warning message is a pretty good hint.
  13. mrflibble

    Bitwidth Management in Input and Output

    Now you spoiled the exercise for the reader. ;) But yes, this is a perfect example of why you want to use clock enables.
  14. mrflibble

    Bitwidth Management in Input and Output

    Are you sure it's not expectation value error? As in, I could see how you can confuse yourself easily with the simulateously incrementing counters. The reason I ask is that I don't really see anything problematic with your posted code. Couple of style points, but it looks to be okay. What I...
  15. mrflibble

    SystemVerilog testbench requires a model, how does one verify the model itself?

    I can sortof see the point. You have the main testbench, which is so complex that it needs to be checked and may even need debugging. So to check/debug it you need another testbench to check the main one. But this secondary testbench probably can be a lot simpler. It's is just one step further...

Part and Inventory Search

Back
Top