Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by mostafa_m

  1. M

    how to power FPGA up?

    I have an FPGA and I want to configure it. I have JTAG cable which I can used to program it. But I want to know how to power it. I have control on all of it pins and I know it VCC and gnd pins. I want to know which VCC pins to cconnect. I connect only 1 VCC and VJtag pins only. is that right or...
  2. M

    Disable Synplify Optimization??

    it is worked at the synthesis level but the layout doesn't contain that chain. What should I do?
  3. M

    Disable Synplify Optimization??

    I used syn_keep and syn_preserve but it doesn't work. syn_keep used to save combination cells and syn_preserve used to save sequential cells. my circuit is mix between combination and sequential cells. can you tell me how to used them. here is the code...
  4. M

    Disable Synplify Optimization??

    I want to make A chain of inverters which begin and end with dff to make a needed delay in my research. I used libero Soc 10.1 which used Synplify to make its synthesis. The optimization which Synplify made remove my chain and replace it by 1 inverter (When the number of inverters is odd) or no...

Part and Inventory Search

Back
Top