Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by mondobongo

  1. M

    Help me convert VHDL code to Verilog

    Re: vhdl to verilog thanks nand_gates so I don't have to convert a register to an integer to use in bracket in verilog but in vhdl i have to
  2. M

    Help me convert VHDL code to Verilog

    how can i convert this code to verilog... I didn't understand... process (Reset,Clk) variable RegBit :integer range 0 to 13; begin RegBit := conv_integer(BitCountPar); if (nReset = '0')then Data <= '0'; elsif (Clk'event and Clk = '0')then if (EnableStrobe = '1')then...

Part and Inventory Search

Back
Top