Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Monali Sonawane

  1. M

    serial communication in VHDL, labview

    Hi all, I am trying to send 2048 bytes continuously from FPGA to Computer serially. i have checked behavioural simulation the code is all correct but still it is sending only 1250 bytes. I have divided data into two 1024 bytes of memory inside fpga. I read first memory one by one byte and send...

Part and Inventory Search

Back
Top