Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by mimiza

  1. M

    Single Row Header Connector

    Yes I looked in Sil Header library but I didn't find single row male connector. But thanks any way, I will try to manage with another connector.
  2. M

    Single Row Header Connector

    Hi everyone, I'm using Proteus ISIS to design a PCB, and I want to use this type of connector: **broken link removed** But I couldn't find in Proteus library. Can any one tell me where I can find it in Proteus library or give me a link to download it it to my library. Thanks.
  3. M

    partition of a number

    Hi joice sar,can you be more clear, what do you mean exactly by divide into two parts in output, do you wish have two outputs that one of them takes the first part and the other takes the second part.
  4. M

    how long it may take my application

    Hi again, thanks barry for your response, it is just my problem I get my Timing after many tests on simulation, in my case it take 1.6 us. if i run a simulation for a period under 1.6 us I get a false results or if I do this : P1 <= "0110" after 0 ns, "1111" after 1,5 us I will have...
  5. M

    Help me, in microblaze processor ???

    Hi luma, yes I think that you have to make some changes in your c program when you use it on SDK because you will need to communicate with the other peripherals through their adresses.
  6. M

    how long it may take my application

    Hi barry, after I implement the design a "Timing constraint" report and "clock report" appear, I would know how long my application may take once data enters the FPGA to get output. There is many informations in the "Timing constraint" and "clock report" reports and I get confused. Thanks.
  7. M

    how long it may take my application

    Hi all, I write a vhdl code on ISE xilinx and I want to know how much time my application may take to be executed, I was told that we can get this estimation from the Implement report but I don't Know where.
  8. M

    analog output in vhdl

    Hi all, I have the same error as Sajimol: (vcom-42) Unsupported ModelSim library format for "lvqsim" .(format 3) I would like how you fix it, I'm using Altium designer and modelsim as simulator. Help please, thanks.
  9. M

    Matlab: save matrix in binary format

    Hello, I want to save a matrix from Matlab to a text file, the matrix must be on binary format example: 0011 0011 1010 1010 0001 1110 1111 0000 1010 I used the save function and the fprintf function but they don't give me what I want. please I need help. thanks.
  10. M

    Matlab file as ISE test bench input

    Hi digital design, I suggegest that you store the matrix from matlab on a file text, next use this file as input for your testbench. to read and write text files on vhdl use the textio library. have a nice simulation
  11. M

    problem with file for a test bench

    I fixed the problem, Thanks for all of you.
  12. M

    problem with file for a test bench

    thanks for your reply ads_err, yes I have already added the input.txt to the directory as you said but the error persist again. that is my code, perhaps I wrote something wrong: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use...
  13. M

    problem with file for a test bench

    Hi FvM, I placed the file "Input.txt" in the project directory where I saved my project. But i didn't found a directory containing *.do files or vsim.ini. thanks

Part and Inventory Search

Back
Top