Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by maxxtorr723

  1. M

    Extracting device parameter of an non-conventional FET and use it in Cadence.

    Thankyou Sir!, You seem to be expert in silvaco can you also please tell me whats the fastest way to learn silvaco? As there are numerous documents/sites on the topic but none seem to help a beginner like me! So as you know much about the software can you tell which file/doc you think you must...
  2. M

    Extracting device parameter of an non-conventional FET and use it in Cadence.

    Hi, I've been assigned to design a non conventional FET (FINFET,Quadfet etc.) in silvaco and then somehow extract and feed the generated device parameters into Cadence for making a single stage amplifier. I wanted to ask if it is possible?? and if yes then how it could be done?? Thank you very...
  3. M

    Help in writing Verilog code for t flipflop with asynchronous Load.

    Thankyou sir for your timely reply! I was also thinking to generate a small pulse using the load as you did but wont the logic assign load_pulse = ~load & load_dly; give a zero output while simulating in modelsim/Xilinx? Also the frequency of the PLL is not fixed so I dont think it is possible...
  4. M

    Help in writing Verilog code for t flipflop with asynchronous Load.

    Hi, I am designing a jitter bounded DPLL in verilog for which I need a T flip-flop (for a down counter) which can toggle output on positive edge and load data asynchronously on negative edge. I wrote the code but it is not working as needed. Code is :- module tff_async_load ( data , // Data...
  5. M

    Understanding of a program in system verilog

    HI, I am new to system verilog and was trying to simulate the following simple program but am not able to understand how the output is beign generated: module test (); initial begin byte XYZ[4][7]; foreach(XYZ[i,j]) XYZ[i][j]=i*100+j; foreach (XYZ[i]) begin...
  6. M

    SNM curve for 6T SRAM in HSPICE

    Hi rajni, have you already made the SNM curve for hold?? if yes ,just apply the concept of read i.e. apply initial condition in both the lines by setting them high using .IC command format being .IC V(x)=XXv where x is node and xx is voltage to which you have to charge your bit lines and then...

Part and Inventory Search

Back
Top