Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by maturainfankam

  1. M

    overflow by comparaison

    hi, i have design a priority Queuing for value comparaison, the heap is always the smaller value. 1 - the value to compare are update dynamic ( with some add operation) 2 - the value to compare are represented with 16 Bit => when i update the values, we can get a overflow and the comparaison is...
  2. M

    he tool has just encountered a fatal error

    Hello, i have some problem with my design. when a make the syntesis with design compiler, i get the error : The tool has just encountered a fatal error: If you encountered this fatal error when using the most recent Synopsys release, submit this stack trace and a test case that reproduces the...
  3. M

    Design compiler Warnings cell 'C45488' does not drive any nets. (LINT-1)

    tanks you for your quiet answers, 1- sharath666: as you say, i have several such warnings 2- how can i check, if the warnings are due to some error in RTL modell, because a don't get a error by the RTL Simulation. I don't have a Interface with the name cell 'C45488' . How can i find the...
  4. M

    Design compiler Warnings cell 'C45488' does not drive any nets. (LINT-1)

    Hello all together, i use Design compiler to synthetisize my design. i get the warnings #Warning: In design 'komp_shift_sel', cell 'C45488' does not drive any nets. (LINT-1)# i don't know how to deal with this problem. if somebody can help a we be very gratefull. best regards. maturain
  5. M

    [Moved] Access type and pointer (sorted linked List )

    thanks for answer, the code that i want first to write is not synthetizable, i want only one modell for the simulation and to test only with simulation if this work. the not synthetizable modell is the reference modell and is only for simulation. I want to write the synthetizable modell later...
  6. M

    [Moved] Access type and pointer (sorted linked List )

    Dear ads-ee i try to write first a modell with linked List to sort some entries. The linked list are dynamic, that mean i can add a new or delete a existed or move a value from one position to another to get already a sorted List. when a finish the modell, i want to to develop some hardware...
  7. M

    [Moved] Access type and pointer (sorted linked List )

    Hello everybody, i have some problem to use the pointer in VHDl. to begin a have contruct a linked list with three element 10 => 12 => 14. Nun a want to add the value 13 on the List ( between 12 and 14) so that i get always a sorted list. But that not work: you can see the code in appendex...

Part and Inventory Search

Back
Top