Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by marty1990

  1. M

    design of arithmetic logic unit 8-bit

    short reply: I don`t understand a thing, if you have time,explain in more ample words some paragraphs from that program in the link i gave. At least this way,i`ll understand 20% of the program:P
  2. M

    design of arithmetic logic unit 8-bit

    tell me what informations do you need, i`m stupid!(i can`t do it myself),that`s why i`m crying for help. I said one hour,because i tought that the teacher would give me something easier to do,so i could get 5 points out of ten(the minimum points to achieve the exam)...
  3. M

    design of arithmetic logic unit 8-bit

    honestly?it`s an easy job for someone that knows verilog,about an hour?tops. I have to do it these days?maybe that is the biggest problem. I just asked for help,if someone would like to help me,so i can proceed to the next year of the college,i`d deeply appreciate it.
  4. M

    design of arithmetic logic unit 8-bit

    I have to make this in verilog,it`s a project for college. I wasn`t as his classes,because i`m working,and i have no clue how to do it, and no time to do it. He explained me in the picture what i have to do. I have to make an 8biti ALU ,that has to do + on bit, - on bit, AND, OR, negation a on...

Part and Inventory Search

Back
Top