Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by mariajohn

  1. M

    how to use the floating point core from ip architecture ?

    floating point core hiii i need to use floating point numbers in my project . can anyone tell how to use the floating point core from ip architecture and wizard in a simple program for adding two fp numbers. thanking you maria
  2. M

    DISTRIBUTED ARITHMETIC IN VHDL

    hi, im doing my project which is based on implementing incremental equation of pid controller in fpga. im using distributed algorithm which reduces the multiplication operation to addition and shifting. i want to know whether this algorithm avoids floating point bottle neck. plz help...
  3. M

    convert of floating point number to binary in VHDL

    thank you very much i was not able to get that site at first,but today i got that... thank you once again
  4. M

    convert of floating point number to binary in VHDL

    hai im doing my project which deals with implementing a PID controller in FPGA... im currently writing its coding considering integer inputs.... but i want to give floating point and fixed numbers as input.... so is it posiible to do the floating point operations in VHDL???? im using xilinx...
  5. M

    convert of floating point number to binary in VHDL

    hai i need to knw is it possible to convert a floating point number to binary representation and vice versa.... im using xilinx ise 9.2i.... plz help.....

Part and Inventory Search

Back
Top