Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Manwe

  1. M

    -sv switch to enable System Enble Construct

    I have a top level verilog file, which declares all the inputs, outputs, regs and wires for several sub-files. FetchStage1 fs1( .stall_i(instBufferFull | ctiQueueFull), .clk(clock), .reset(reset), .lastPC) I get the following error while compiling:dot...
  2. M

    Unsynthesizable code Error in Synopsys Design Vision

    Hi, I have a piece of Verilog code in an always block (with a posedge clock in the sensitivity list) that I am able to simulate but not synthesize using Synopsys Design Vision: ctrlList.sram[addr1] <= 0; All statements in the always block are non blocking. Other accesses to the sram module...

Part and Inventory Search

Back
Top