Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by manny

  1. M

    LM77000 / LM759 Need help with finding a replacment...

    lm759 Hi all, I could do with a bit of have to replace a LM77000/LM759 with another chip th circuit itself has a LM77000 in it But as you all know yoy can no longer get this chip and some suppliers are as for £200 for a chip!!!!. So need to find something to replace it or a small circuit...
  2. M

    Help on sync 240 AC generator to the 240 main in your house

    Hi all, Does anyone now of good book, ebooks, circuits, links etc on how one would sync the power from a genarator to the power on your ring mains from your house. basically getting the phases the same so it does not go BANG... any help would be great thabkyou all manny :-)
  3. M

    Can anyone help with a 16 bit latch in VHDL....

    Thank you again nand_gates for you help... i can see what you have done. Ican learn a lot from this thank you very much for you help... Manny :-)
  4. M

    Can anyone help with a 16 bit latch in VHDL....

    Thankyou nand_gates for your help the sch is below as you can see its simple on the schmatic for me but not in VHDL... If you could add a CE (chip enable) on it that would be helpful but only to select or de-select the chip, not as part of the latching function... thankyou very much for your...
  5. M

    Can anyone help with a 16 bit latch in VHDL....

    Thank you for your advice Renjith, But i think im might of not made myself clear as to what im looking for and the application it will be in... The project is to make a checking system for a rivet table that can have between 2 and 16 rivets heads on it the operator puts the rivets into each of...
  6. M

    Can anyone help with a 16 bit latch in VHDL....

    hi nand_gate thanks for the code I have tried it found that it Din switches to across to Dout but does not latch, when Din changes the Dout changes back how would one make Dout stay high even if the Din had changed back to zero... manny
  7. M

    Can anyone help with a 16 bit latch in VHDL....

    Wow what can I say that was so quick.... thankyou thankyou thankyou very much for this i will study and learn from this to thanks again all the best Manny :-)))
  8. M

    Can anyone help with a 16 bit latch in VHDL....

    latch 16 bits vhdl Hello all, I want to inplement a 16 bit latch in vhdl with a single reset... I like it to work the same as a RS-Latch, on power up the Q's are set to zero as the inputs go high this is latched across to the respective Q, but if the input that was latched changes back to a...
  9. M

    Does anypne know who make this dev board

    Hello to all, I've recieved my new Xilinx dev board i bought from Hongkong this is the link to it **broken link removed** everything is ok with but the supplier has taken off the manufactures labels this this is like a red rag to a bull so now i would like to find out who actually make this...
  10. M

    Can you use Verilog in Protel DXP 2004 or just VHDL ?

    Hi all, Can anyone answer this question I have, within the new Protel DXP 2004 can you use Verilog to program FPGA's or is it only VHDL and the schmatic and nothinf else. And questiion two is is it possible to use third party compiliers with Protel DXP 2004 such as Pic C, CCS or only the...
  11. M

    Need sch for Blackstart Universal counter

    Hi all again i also need the schmatic for a blackstar apollo 100 universal counter-timer could somone upload please and PM me thank you all again for your help Manny :D
  12. M

    Need Sch for Mitsubishi Diamond Plus 100E

    who make mitsubishi diamond plus 100e Hi does anyon have the Schmatic for a Mitsubishi Diamond Plus 100E. If so please could they upload and and PM me. thank you all the this help Manny :D
  13. M

    A question about Protel DXP 2004...

    Hi all, Can anyone tell me do you need to have the NanoBoard in order to design with or intergrate FPGA's into your design. Thankyou all for your help Manny :D
  14. M

    Siemens System 8 Matrix Display Schematic

    Hi all, Im looking for a circuit diagram for a Siemens System 8 (CNC CONTROLLER) circuit diagram as im looking to make a replacement using a graphics LCD display as you cannot get the old ones any more. I do hope someone can help thank you and all the best Manny :wink:
  15. M

    TTI PL320QMT CIRCUIT DIAGRAN (SCHMATIC)

    pl320qmt Hi all does anyone have the circuit diagram for the TTI PL320QMT BENCH POWER SUPPLY. If so please could you upload or PM me with thanks all manny :wink:

Part and Inventory Search

Back
Top