Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by majd229

  1. M

    Can you convert GDS file to DEF format?

    Hello, If you have a DEF and tech lef, and you convert the DEF to GDS using Synopsys ICC2. Then you modify the GDS quickly, but now need to have a matching DEF. Is there a tool/way to read in the new GDS, and tech lef, and write a DEF? You might say, just edit the DEF and re-export GDS instead...
  2. M

    Is .CDL netlist format proprietary to Cadence? Where can I find its syntax manual?

    I'm trying to understand if the *.PININFO syntax is optional in CDL netlist. Does spice read that? OR is it simply for human understanding, like a comment? What about LVS, does LVS care about it? Does spice? Which tools/checks take it into account? For the tools that do take it into...
  3. M

    In PrimeTime, is there a similar command for setting output load cell to all outputs?

    For setting driving cells, theres a command -set_driving_cell $LIB $CELLNAME [all_inputs] But If i want to set a "load" or loading cell to all output ports, is there a similar function? If not, how do i set some cell (eg. buffer or level shifter) from some timing lib as the load to all output...
  4. M

    How to get_attribute of a user defined attribute in a timing lib in PrimeTime?

    I have a set of timing libs i get from other design teams. They add a custom user defined attribute of class "lib_timing_arc" to timing arcs. All i have is the .lib files. Im reading them into PrimeTime, and foreach arc, Im printing some of the useful properties/attributes. I also want to print...
  5. M

    How to pass arguments to PrimeTime TCL script? (using pt_shell -file myScript.tcl )

    I want to allow user to pass some variables (lib file path, some constraint values, etc). How can i do that with a PT -file command? eg. In tcl file i want to have: set timing_lib_path [lindex $argv 0] set_app_var link_path $timing_lib_path etc... Then I want user to run: pt_shell -file...
  6. M

    In PrimeTime, how can I view setup/hold times per endpoint in easy format for parsing

    Wow, thanks man. I'm new to this whole EDA tool analysis so I didnt know what you meant. Now it's so much better! Do you mind telling me what you exactly searched up on Google? Just so I know the keywords and style to search things up in the future.
  7. M

    In PrimeTime, how can I view setup/hold times per endpoint in easy format for parsing

    I know how to use TCL with PrimeTime, but how can i use it to parse the reports? The commands dont return a list/collection/array, they just return the text AFAIK
  8. M

    In PrimeTime, how can I view setup/hold times per endpoint in easy format for parsing

    Hello, Im trying to output setup/hold times for synchronous endpoints into a side report. Im using the below, but it only shows me slack in easily parsed format. If i use -path_type full, Itll create a little paragraph for each path, which is very hard to parse: report_timing -delay_type...
  9. M

    In PrimeTime, whats are -start_end_pair and -cover_design in report_timing cmd?

    Hello, Im trying to view setup and hold times, but i cant seem to figure out the difference between the following: (for either min or max delay type): report_timing -path_type summary -max_paths 10000 report_timing -start_end_pair -path_type summary report_timing -cover_design -path_type...
  10. M

    How do I know inbetween which pins do arcs exist in PrimeTime for timing libs?

    Hi, I want to basically print all setup and hold and delay times between all paths. I want to print them whether they violate or not, basically to do comparison analysis between this lib and another lib (compare eg. setup time of lib1 vs. setup time of lib2, regardless of whetehr they violate or...
  11. M

    How to save PrimeTime command output into a variable in TCL?

    Thank you. foreach p [get_pins -filter {is_clock_pin}] { puts "[get_object_name $p]\n" } instX/pinX instX/pinY They are now printed as 1 single variable, however if I do this command: % get_pins -filter {is_clock_pin} {"instX/pinX", "instX/pinY"} I get an array structure thats comma...
  12. M

    How to filter ICC2 violations in a certain x-y rectangle of the design?

    In ICC2 gui, im able to open error database and set type, layer, net filters as well as region filter whcih i could drag my mouse to a certain area to only show the errors in that physical area. I dont seem to find commands for that in the ICC2 manual to do in script mode (aka, run ICC2 with TCL...
  13. M

    How to save PrimeTime command output into a variable in TCL?

    Hello, I've used a few EDA tools with their TCL commanders in the past and now exploring PrimeTime.... the regular method seems to not work, not sure why. Can someone explain what's happening? eg: % get_pins -filter {is_clock_pin} {"instance_x/pinX", "instance_y/pinY"} #initialize p as 0...
  14. M

    In PrimeTime, how can I report_delay_calculation from all input pins to all out pins?

    Hello, In the timing libs, I can find the "output" ports, see their "Related_pin", and report delay calculation from related_pin to output_port. However, how can I do this for all output ports and their related pins automatically? Basically I receive a timing lib from a designer that I know...
  15. M

    [SOLVED] Can you use StarRC to extract a certain subcell and all its connections to topcell?

    Hello, my team is working on RC extraction from layout for certain subcells inside a big layout. We don't want to extract the whole thing because the extracted netlist will be huge and take long. So they want to extract starting from a subcell of interest, but trace the connections of the nets...

Part and Inventory Search

Back
Top