Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Mai89

  1. M

    [SOLVED] Can i store data with variable length in a RAM in VHDL ?

    I really apologize, I don't want to bother anyone with my questions. I'm confused also. My issue is : I have a set of data as mentioned before (with variable lengths) and I want to store them as a database to perform "String Matching" and check if an input data is exist between them or not (...
  2. M

    [SOLVED] Can i store data with variable length in a RAM in VHDL ?

    My goal is to do "String Matching" as shown in the previous post. Just wanna compare a certain input data is exist between these data or not.
  3. M

    [SOLVED] Can i store data with variable length in a RAM in VHDL ?

    Th task that I want is storing this data to perform "String Matching" c61626630396663356162626103636f6d00 0570726573730f75666f6e65636f6e666572656e636503636f6d00 096d61696c2d68656c7003636f6d00 076e696768746c790e77696e646f777375706461746573026575 056e63656261036f726700 08776f6f646d61746502697400...
  4. M

    [SOLVED] Can i store data with variable length in a RAM in VHDL ?

    I need to treat them as strings if it is possible, it will be more useful for me.
  5. M

    [SOLVED] Can i store data with variable length in a RAM in VHDL ?

    No, it is in Hex format. I will sort them ascending to access them one after one. 1619428124 My data like this: each element has fixed size(while the operation) but the size of all elements is not the same. what I want exactly to store these data, sort them ascending and access any one at...
  6. M

    [SOLVED] Can i store data with variable length in a RAM in VHDL ?

    Could you give me some examples please be useful in my case mentioned in the previous post.
  7. M

    [SOLVED] Can i store data with variable length in a RAM in VHDL ?

    Yes, Like this: They are exist in a text file. I need to store them using VHDL to perform some operations based on them. Could you please give me a hint about how using "record" in this case and I will google it also.
  8. M

    [SOLVED] Can i store data with variable length in a RAM in VHDL ?

    Yes, I have 3157 elements and the largest one is 424-bit.
  9. M

    [SOLVED] Can i store data with variable length in a RAM in VHDL ?

    I need to store data with variable lengths in the same RAM. Can I do that using VHDL? If not, is there any suggestions to solve this ?
  10. M

    [SOLVED] VHDL code to input data of size 18432 bit as word by word of sizes 64 bit

    okay, thanks for this explanation. I will search for the required interface and then back to this helpful thread.
  11. M

    [SOLVED] VHDL code to input data of size 18432 bit as word by word of sizes 64 bit

    Honestly, the interface part isn't mine in this task, but anyways it is RF transceiver. Expected Frequency: around 200MHZ. All what i want to know how to take a long data-stream word by word of sizes 64-bit to reduce the used input pins.
  12. M

    [SOLVED] VHDL code to input data of size 18432 bit as word by word of sizes 64 bit

    I want to receive it part by part (part=64-bit) Chip: Virtex7 (xc7vx485ttfg 11557-1) It should be received from a node in wireless sensor network.
  13. M

    [SOLVED] VHDL code to input data of size 18432 bit as word by word of sizes 64 bit

    I mean, i have input signal of size 18432, but there are 64 pin available to this signal. 1606120855 rea real 1606120926 Could you explain please
  14. M

    [SOLVED] VHDL code to input data of size 18432 bit as word by word of sizes 64 bit

    How can I write a VHDL code to input data of size 18432 bit as word by word of sizes 64 bit.
  15. M

    [SOLVED] Switching between more Bit-streams in single FPGA

    Is there any ability to switch between bitstreams in Single FPGA? can i store these bitstrems on FPGA's memory and then switch between them?

Part and Inventory Search

Back
Top