Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by mahesh_namboodiri

  1. M

    How do I assign decimal values in verilog?

    I have a LUT in I need to assign values. They are in the range of 0 to 3.3. Following is the code: case(address) 8'b00000000: new <= 16'bXX00111111111111; 8'b00000001: new <= 16'bXX00011111111111; 8'b00000010: new <= 16'bXX00000000010000; 8'b00000011: new <= 16'bXX00000000000000...

Part and Inventory Search

Back
Top