Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by maejchi

  1. M

    difference between active and passive filters

    what are the major diferences between passive and active filters used in the electronic instrumentation??can anyone please help me...
  2. M

    to model on the software Optisystem the various standards

    which one is better??...using ideal MUX and DEMUX with optical filter for each user or WDM MUX and DEMUX without any optical filter??...a fellow of mine said the latter reduce cost aka less money...does that mean the latter design is better than the first just because of the cost??...
  3. M

    VHDL code for simple calculator, CPU or uP anyone please??

    haha...simple??...maybe for you but not for us...what if i'm asking you to design a bow tie antenna with three feeders using ADS or perhaps CST software can you really do it then??...ifyou can, then a big applause to you...coding or programming is actually not really related to my field..but...
  4. M

    prgrammable logic based calculator

    hi.. im really desperate because i have this project to submit this coming monday. it may be easy for you... a calculator... a simple one to be exact. i really have no idea how to start and if it's possible to be 8-bit.. to be honest.. i only have background in other software for...
  5. M

    VHDL code for simple calculator, CPU or uP anyone please??

    hi there! thanks for your kind reply. i am actually a communication engineering student but i'm supposed to take computer architecture. unfortunately our labs are simple where the complex code so far is only ALU. i have a lot of trouble in understanding those coding are basically more based on...
  6. M

    How send information from PC to FPGA using VHDL language?

    fpga projects dear all, i'm a student and currently doing a research on simple calculator, CPU or uP for my Computer Architecture (CA) assignments...CA is one of my course subject...thus, i desperately need your help on any coding/program which involves all three; simple calculator, CPU or uP...
  7. M

    VHDL code for simple calculator, CPU or uP anyone please??

    dear all, i'm a student and doing a research on simple calculator, CPU or uP for my Computer Architecture (CA) assignments...CA is one of my course subject...thus, i desperately need your help on any coding/program which involves all three; simple calculator, CPU or uP ASAP....i have searched...

Part and Inventory Search

Back
Top