Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Ludwick

  1. L

    "Hierarchical" instance naming in DC

    Thanks a lot, your hint worked great! I replaced compile -ungroup_all -map_effort medium -area_effort medium with compile -map_effort medium -area_effort medium ungroup nlmisr* Then my verilog output gives me NAND2_X1 nlmisr01_U39 ( .A1(set_ff_data_3), .A2(set_ff), .ZN(nlmisr01_n28) )...
  2. L

    "Hierarchical" instance naming in DC

    Hi, I am using Synopsys Design Compiler (Version B-2008.09) to create a Verilog file from a circuit specified in several VHDL files. The top level circuit given in VHDL includes several shift registers: entity cossma is port( input: in std_logic_vector(3 downto 0); clk: in std_logic...

Part and Inventory Search

Back
Top