Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by lhfoo

  1. L

    [SOLVED] DDC file Synopsys - what does it contain?

    .db is binary form of .lib - it is the timing library, and does not contain your design info or netlist. It is not the same as .ddc.

Part and Inventory Search

Back
Top