Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by KSR24

  1. K

    VHDL code for sampling of PWM signal

    Hello, I just wondering wht's the way to sample the PWM signal in vhdl so that to design an integrator with PWM signal as input.
  2. K

    idea about Double buffering

    @ kalyan Thanks for sharing an idea. As a first step i tried to implement ring buffer referring one of the threads in this edaboard. But i am worried that i didnt get expected waveform.. Can u have a look to fix this?? Thanks, KSR. Added after 1 hours 34 minutes: @kalyan, i am sorry some...
  3. K

    idea about Double buffering

    HI, I am trying to implement double buffer in vhdl ...i.e., i would like to declare two arrays such that as soon as first one is filled the index should point to second array's first element. While the second array is filling the read pointer should read contents of first array so that making...

Part and Inventory Search

Back
Top