Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Kronstadt75

  1. K

    Help me construct a Costas Loop to demodulate a 1.1 GHz DPSK signal

    Analog Devices : Forms : ADIsimPLL Version 3.3 Request for Software (good for designing PLL,...) and Switchercad => Linear Technology - Design Simulation and Device Models
  2. K

    Need more information about IFF transponder...

    Sorry for the delay, I'm always busy and thanks for your answer! Long time ago I was an ASIC/FPGA engineer (Altera), there aren't many jobs in this field in France. If you want to build a Mode S squitter receiver you should ask "satellite" (see thread about DRFM =>...
  3. K

    Need more information about IFF transponder...

    Hello, I want to apply for a job but a prerequisite is to understand how to design an IFF transponder. Does anyone here can tell me where can I find more information about Identification Friend and Foe Transponder. Maybe some books from artech house (or some patent) explain how to design an IFF...

Part and Inventory Search

Back
Top