Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by kostanatuka

  1. K

    Verilog assignment - scheme, code! Optimization suggestions?

    Thanks for the tips. I'll try to get used to and implement them to the assignment! Tomorrow I'll have the opportunity to test the code on a FPGA and see the end result.
  2. K

    Verilog assignment - scheme, code! Optimization suggestions?

    Hello! Don't look at the scheme as it has to have a meaning! It's an assignment to practice what I've learned about verilog for the past week. The code as it is, is working and the test bench is showing the results that I expect. I'm looking for optimization suggestions. Any help will be...

Part and Inventory Search

Back
Top