Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by katkacyt

  1. K

    vhdl: addressing rom

    i'm sure it's that line it's quite a big project, so there are dozen of files, but maybe this'll be enough? rom_opcode.vhd: -- -- ----------------------------------------------------------------- ----------------------------------------------------------------- --...
  2. K

    vhdl: addressing rom

    that works, thanks a lot! the only thing now is that warning "warning: universal integer bound must be numeric literal or attribute" for line type rom_t is array (0 to (2**OP_CODE_W) - 1) of instName_t; --! rom containing instruction names would you have a hint?
  3. K

    vhdl: addressing rom

    Hi, i'm trying to make a std_ulogic_vector - addressable ROM, but i've got problem with code: entity rom_opcode is port ( adr_i : in opcode_t; --! address is opcode of instruction inst_o : out instName_t --! output is a type of instruction ); end...

Part and Inventory Search

Back
Top